Q:

vhdl all zeros

Bus_S <= (others => '0'); -- set vector to zeros
Bus_S(0) <= value; -- assign value to bit 0
0

New to Communities?

Join the community