0
Q:

Target type ieee.std_logic_1164.STD_LOGIC_VECTOR in variable assignment is different from expression type ieee.std_logic_1164.STD_ULOGIC.

type t_g is array (1 downto 1, 3 downto 1)of integer;
signal g: t_g;
0

New to Communities?

Join the community